aboutsummaryrefslogtreecommitdiff
path: root/src/system
diff options
context:
space:
mode:
authordec05eba <dec05eba@protonmail.com>2021-10-28 17:33:57 +0200
committerdec05eba <dec05eba@protonmail.com>2021-10-29 14:31:31 +0200
commit8d525bc1c3506f15a5f68672245f845cebe18eef (patch)
tree243376e2cae0be40b6870ec8fe0082845996df87 /src/system
parenta80bf6bb6cb8ab8c5a1430f9f9dbc214f71bdddf (diff)
More, todo interfaces
Diffstat (limited to 'src/system')
-rw-r--r--src/system/Clock.cpp15
1 files changed, 15 insertions, 0 deletions
diff --git a/src/system/Clock.cpp b/src/system/Clock.cpp
new file mode 100644
index 0000000..3fdc781
--- /dev/null
+++ b/src/system/Clock.cpp
@@ -0,0 +1,15 @@
+#include "../../include/mglpp/system/Clock.hpp"
+
+namespace mgl {
+ Clock::Clock() {
+ mgl_clock_init(&clock);
+ }
+
+ double Clock::restart() {
+ return mgl_clock_restart(&clock);
+ }
+
+ double Clock::get_elapsed_time_seconds() {
+ return mgl_clock_get_elapsed_time_seconds(&clock);
+ }
+} \ No newline at end of file